购买
下载掌阅APP,畅读海量书库
立即打开
畅读海量书库
扫码下载掌阅APP

第1章
集成电路版图设计基础

在正式开始集成电路版图设计工作前,本章先简单介绍一下集成电路版图设计基础知识,包括集成电路和集成电路设计、集成电路版图设计与验证、集成电路版图设计工具及集成电路版图设计工艺文件。

1.1 集成电路和集成电路设计

集成电路(Integrated Circuit,IC)是当今发展最迅速的技术领域之一,集成电路产业已经成为全球经济发展的重要支柱。在进入主题前非常有必要先了解一下什么是集成电路,以及集成电路设计要做什么工作。

1.1.1 集成电路及其发展

集成电路是一种高度集成的电子器件,它将许多电子元器件(如晶体管、电容、电阻等)及其他功能元器件(如逻辑门、存储单元等)集成到一块半导体芯片上,从而形成一个完整的电路系统。集成电路技术的发展使得在一块芯片上可以容纳数千个甚至更多的元器件,从而极大地提高了电子产品的性能、可靠性和成本效益。

图1.1所示为封装好的集成电路,这些集成电路会被用在印制电路板(PCB)上。一块PCB上一般会包含一个或多个集成电路,这些集成电路和其他分立元器件在一块PCB上一起工作,共同实现整体的电路功能。这些集成电路往往在整个电路中起到最主要、最关键的作用。随着集成电路规模的不断扩大和片上系统(SoC)的发展,集成电路逐渐成为各类电子产品的核心部件。

为什么小小的集成电路有这么重要的作用呢?集成电路的飞速发展主要得益于集成电路制造工艺的不断进步。这些制造工艺使得数以万计的元器件及其互连线可以被集成到一块微小的半导体晶片(通常是硅单晶片)上,极大地压缩了电子产品的体积,同时提高了电子产品的功能和性能。集成电路制造工艺的进步允许我们在极小的空间内设计复杂的电路,使设备连接更加紧凑。采用集成电路制造工艺做出的产品称为晶圆(Wafer),如图1.2所示。目前,晶圆的直径通常有5in(1in=2.54cm)、6in、8in和12in等规格。在每块晶圆上经过光刻、氧化、扩散、刻蚀、薄膜淀积等工序,最终做出各类元器件及其互连线,以实现一定的电路功能。这里要注意的是,一块晶圆上通常包含结构和功能相同的数百甚至数千个重复单元,其中每个重复单元所占的面积都不会太大,这些单元最终被分别切开并封装在陶瓷或塑料外壳中,从而形成如图1.1所示的产品。也就是说,在每块晶圆上最终可以生产出很多功能相同的集成电路。

img

图1.1 封装好的集成电路

在晶圆上的每个单元中都包含许多电阻、电容、二极管、三极管、场效应管等基本元器件。由于这些元器件尺寸非常小,通常为微米甚至纳米级别,所以光凭肉眼是无法看清的,只有在高倍率显微镜下才能够看到这些元器件的“庐山真面目”。值得一提的是,随着制造工艺的不断发展,集成电路的特征尺寸在不断缩小,已经到了深亚微米甚至纳米级别,利用光学显微镜已经不足以看清这些微小的元器件,此时只有利用扫描隧道电子显微镜才能看清这些元器件的外貌。图1.3所示为扫描隧道电子显微镜下的单元芯片。

img

图1.2 晶圆

img

图1.3 扫描隧道电子显微镜下的单元芯片

1.1.2 集成电路制造流程

一个集成电路具体是怎样制造出来的呢?集成电路制造流程如图1.4所示。

img

图1.4 集成电路制造流程

与传统电路设计不同,集成电路设计是根据用户的需求来设计电路的,以使电路具备用户所要求的功能。除此之外,由于集成电路制造工艺与传统电路制造工艺不同,因此,为了将所有的元器件及其互连线都集成到一块半导体晶片上,完成电路设计后还需要根据设计原理图设计出集成电路版图。如果没有这个版图,那么后续的集成电路制造工作将无法进行。因此,集成电路设计通常包括两个主要阶段——电路设计和版图设计。在这两个阶段中,版图设计是集成电路设计与传统电路设计最显著的不同之处。因此,准确定义集成电路设计应涵盖以下方面:在符合一定约束条件的前提下,将具有一定的功能和性能要求的产品转化为特定元器件的组合,并最终在半导体晶片上实现。其中,约束条件包括速度、面积、功耗、可靠性及可测试性等方面的条件。早期的集成电路版图设计都是手工绘图完成的,但随着集成电路规模的不断扩大,百万门、千万门级集成电路越来越多,手工绘图费时费力,对于大规模集成电路设计来说已经不太现实了。如今集成电路设计基本上都引入了计算机辅助设计(Computer Aided Design,CAD),如图1.5所示,通过使用高性能的计算机及专门的电子设计自动化(Electronic Design Automation,EDA)软件,既大大提高了设计效率、缩短了设计时间,又提高了设计的精确度。此外,计算机辅助设计的引入使电子产品设计的检验和核对工作也变得更加简便、高效。

img

图1.5 计算机辅助设计

掩膜版制作是指将设计好的版图制成每一步光刻所需要用到的掩膜版,这些掩膜版最后会被用于集成电路制造工艺中的光刻步骤。可以说“最后形成什么电路”“这些电路有什么功能及性能如何”在很大程度上是取决于光刻图形及其质量的,这些光刻图形就是在版图设计阶段设计出来的。

集成电路制造是指通过光刻、氧化、扩散、刻蚀、薄膜淀积等工序,按照预先设计的掩膜版对硅晶圆进行加工,从而将其转化为具备特定电路功能的实际的集成电路。

封装与测试是指先将由晶圆厂加工制造出来的集成电路通过划片切割、连接及粘贴塑料封装等工序进行封装保护,然后进行功能测试,以便于进行后续的电路或系统组装。

随着计算机科学的发展,现在的集成电路设计主要是在计算机上采用相关的计算机辅助设计软件来完成的。随着集成电路的规模越来越大、特征尺寸越来越小,一个集成电路中包含的元器件越来越多,集成电路设计也不是由一两个人就能完成的,为了缩短设计周期,集成电路设计趋于分工化。一般一个集成电路由一个团队来设计,团队中的成员只负责设计集成电路的一部分。

1.1.3 集成电路设计要求

集成电路设计是集成电路制造流程中的第一步,也是最关键的一步。集成电路的作用、功能等都取决于集成电路设计。集成电路具有什么样的作用、功能是在集成电路设计时就设定好的。在集成电路设计过程中,设计者一方面要通过工具验证不断地优化电路及版图,以使产品具有较好的性能;另一方面要根据用户的实际使用反馈来对产品做出优化,这也是最主要的任务。

集成电路设计综合了电路分析与设计、半导体物理与器件、半导体材料与工艺、半导体集成电路及计算机辅助设计软件等多门课程,是一个综合性的学科领域。这门学科对学习者有着严格的要求。学习者在着手学习集成电路设计之前,必须首先掌握电路分析与设计、半导体物理与器件、半导体材料与工艺、半导体集成电路等专业课程的知识。如果没有掌握这些基础知识,那么在进行集成电路设计时就会遇到很大的困难,即使能够进行一些设计工作,结果也难以达到合格的水平。

(1)电路分析与设计课程主要指导学习者根据用户的使用要求设计出能实现相关功能且满足性能要求的电路。

(2)半导体物理与器件课程主要介绍半导体内部的物理机制与特性,以及采用半导体材料制造的元器件的一些特性。这门课程的知识是学习集成电路和进行集成电路设计重要的理论基础,其中包括半导体中电子和空穴的作用、半导体能带理论、半导体掺杂、半导体电阻、PN结、二极管、三极管、MOS场效应管原理等。只有学好这门课程,才能在集成电路设计中对电路参数、版图尺寸等进行优化,从而获得较好的产品。

(3)半导体材料与工艺课程主要介绍制造集成电路所用的半导体材料,以及从硅的光片加工到测试阶段之间的所有制造工艺,主要有光刻、氧化、扩散、刻蚀、薄膜淀积等。集成电路设计不同于传统电路设计,在设计过程中设计者除了要关心电学方面的问题,还要熟练掌握相关半导体制造工艺知识,并根据制造工艺的特点对产品进行设计优化。否则很可能出现设计出的产品在电学方面没有问题,但在实际生产过程中却实现不了的状况。

(4)半导体集成电路课程主要介绍集成电路的概念和分类,以及各类集成电路的特点。只有学好这门课程,才能在进行集成电路设计时对整体设计有明确、清晰的思路,进而较好地把握设计要点。

集成电路规模的发展和集成电路本身精密的特点要求设计者在设计过程中进行大量细致的绘图工作,光靠手工绘图是很难完成这项任务的。现在集成电路设计主要是在计算机上采用相关的计算机辅助设计软件来完成的。由计算机辅助完成设计任务,在保证工作效率的同时,又能保证图形的准确性和精确性,所以掌握一个或多个集成电路设计软件的用法对于设计者来说是非常必要的。目前,常用的集成电路设计软件主要有Cadence、ChipLogic系列、Synopsys、Mentor Graphics、Tanner和华大九天软件等。其中,Cadence和华大九天软件一般在工作站上使用,对应的操作系统为UNIX或Linux;Tanner在PC上就可使用,对应的操作系统为Windows;ChipLogic系列为反向设计的主要工具软件之一。Cadence主要用于集成电路版图设计和验证,Synopsys主要用于逻辑综合,Mentor Graphics主要用于PCB设计和深亚微米集成电路设计、验证及测试等。

1.1.4 集成电路设计流程

集成电路设计流程如图1.6所示。

集成电路设计的核心内容可以分为两个主要部分:电路设计与仿真和版图设计与验证。在根据用户的产品需求提出功能要求后,设计者要根据用户需求设计电路,以实现所需功能。完成电路设计后,必须进行电路仿真,以验证设计的电路是否满足要求、设计是否存在问题、一切参数是否符合规范等。在此基础上,可以进行电路改进和优化,以确保达到设计目标。集成电路设计的显著特点在于,除需要进行电路设计之外,还需要进行版图设计,这是必要的阶段。一旦电路设计与仿真完成,就进入版图设计阶段。版图设计受到规范的严格约束,这些规范通常由集成电路制造商根据工厂的生产能力给出。在版图设计过程中,设计者必须严格遵循规范,否则设计的产品将无法进行批量生产。完成版图设计后,还需要进行后仿真,以验证设计的版图是否符合规范、是否能准确反映电路设计等。由于集成电路制造是一种平面工艺,集成电路中存在许多寄生元件,因此在完成电路设计和版图设计后,还需要进行寄生参数测试和优化,以完成整个集成电路设计任务。从电路到实物的过程示意图如图1.7所示。

img

图1.6 集成电路设计流程

img

图1.7 从电路到实物的过程示意图

1.1.5 集成电路设计分类

集成电路设计主要有以下几种分类方法。

(1)集成电路设计按设计方法可分为正向设计和反向设计。正向设计是指先根据用户需求基于已有的设计知识产权(IP)采用自顶向下(Top-Down)的方法设计出电路并通过集成电路实现,再进行实物测试并将结果反馈给设计者进行优化。反向设计是指先对实物进行拆解、照相,基于芯片背景图像提取出相应的逻辑和版图,再通过软件来验证所提取逻辑和版图的正确性,从而做出相应的优化和改善,即采用自底向上(Bottom-Up)的方法进行设计。

(2)集成电路设计按电路类型可分为数字集成电路设计、模拟集成电路设计和数模混合集成电路设计。

(3)集成电路设计按元器件结构可分为双极型集成电路设计、MOS集成电路设计。

(4)集成电路设计按设计自动化程度可分为全定制集成电路设计、半定制集成电路设计。全定制集成电路设计是指按规定的功能、性能要求,对电路的结构、布局、布线进行专门的最优化设计,以实现集成电路的最佳利用。这样设计出来的集成电路称为全定制集成电路。半定制集成电路设计是指由厂家提供一定规格的功能块,如门阵列、标准单元、可编程门阵列(Programmable Gate Array)等,按用户要求利用专门的设计软件对其进行必要的连接,从而设计出所需要的专用集成电路。这样设计出来的集成电路称为半定制集成电路。

1.2 集成电路版图设计与验证

集成电路设计不同于传统电路设计的最大特点是有版图设计阶段。那么,什么是集成电路版图呢?版图就是一组相互套合的图形,各层版图对应于不同的工序,每层版图用不同的图形来表示。版图与所采用的集成电路制造工艺紧密相关。如果说集成电路制造工艺关心的是芯片纵向剖面结构,那么版图关注的则是芯片上的平面图形。

本节首先介绍版图设计概念,其次重点介绍版图设计方法,最后介绍版图验证及相关工具。

1.2.1 版图设计概念

所谓版图设计,是指把集成电路原理图(Schematic)或网表(Netlist)转化为集成电路版图的过程,或者说是按照一定的工艺规则和电路结构要求,将多个设计层次有序地排列、组合、叠加而构成完整版图数据的过程。版图是制造集成电路的基础,版图设计是否合理对成品率、电路性能影响很大。若版图设计错了,则电路无法实现;若版图设计不合理,则成品率和电路性能将受到很大影响。版图设计必须与电路设计、工艺设计、工艺水平相适应。设计者必须熟悉工艺条件、元器件物理特性、电路原理及测试方法。

由于半导体的精细加工特性,元器件和电路的功能及性能都严重依赖于版图的准确性。加工工艺为版图设计设定了一系列限制条件,以防止出现可能的加工错误。这些限制条件被称为设计规则。设计规则是设计者和工艺工程师进行交互的依据,以确保版图设计满足这些规则后,加工后的元器件能够达到工艺规则所要求的性能水平。

在进行集成电路版图设计时,需要遵循以下原则:在保证符合设计规则的前提下,考虑电路性能方面的要求,如功耗要求等,以最小的面积来进行版图设计。设计者需要具备电路系统原理和工艺制造方面的基础知识。虽然设计出符合设计规则的正确版图可能并不是难事,但是设计出满足高性能、低功耗、低成本及高可靠性要求的版图需要经过长期的学习和积累。

作为一位版图设计者,首先要熟悉工艺条件和元器件物理特性,这样才能确定相关的参数,如元器件的互连线宽度、间距及各次掩膜套刻精度等。虽然版图设计的基础是平面工艺,设计的图形也是二维的,但设计者必须处处从三维的角度考虑。其次要对电路原理有一定的了解,这样才能在版图设计中避免某些分布参数和寄生参数对电路产生影响。值得一提的是,在半导体工艺中考虑得更多的是元器件的剖面结构,也就是纵向结构,而在版图设计中需要更多地考虑平面结构,这一点贯穿整个版图设计过程的始终。最后要熟悉测试方法。设计者通过对样品性能进行测试和用显微镜观察,应能分析出工艺中的问题,并能通过工艺中的问题发现电路设计和版图设计的不合理之处,从而指导改版工作的进行。若在测试中发现某一参数不合理,则其往往与版图设计有关。

1.2.2 版图设计方法

版图设计是集成电路设计的一个重要环节,版图设计方法总体来说可以分为全定制版图设计和半定制版图设计两种。

所谓全定制版图设计,是指利用人机交互图形系统,由设计者根据逻辑电路从每个元器件的形状、尺寸开始设计,接着设计元器件的互连线,直至整个版图设计完成。针对一些模拟电路,通常从底层的元器件开始设计,先形成单元,再进行模块设计,逐步构建整个电路。通常采用全定制版图设计方法来设计模拟电路的版图。全定制版图设计方法的优点是可以缩小版图面积,逻辑设计灵活;缺点是设计周期长,开发阶段投资风险大。

在全定制版图设计过程中,设计者可以根据逻辑电路考虑版图中元器件的布局和布线,即正向设计版图,也可以基于芯片背景图像反向设计版图。反向设计版图需要用到后文将介绍的集成电路版图分析软件。本书第4~8章将详细介绍CMOS反相器等单元的全定制版图设计方法,包括正向设计版图和反向设计版图。图1.8所示为采用全定制版图设计方法设计的电阻等模拟元器件的版图。

半定制版图设计是指以预先设计并经过验证的单元为基础,进行具体电路的版图设计。半定制版图设计不必考虑单元电路内部元器件的互连,只需要对这些基本单元进行合理的布局和互连即可。半定制版图设计方法的优点是简化了设计,缩短了电路设计周期,降低了开发成本;缺点是版图面积利用率不高,电路无法获得最优性能。半定制版图设计方法中最常见的是基于标准单元的版图设计方法。对于大规模数字集成电路的版图设计,通常采用基于标准单元的版图设计方法。

img

图1.8 采用全定制版图设计方法设计的电阻等模拟元器件的版图

图1.9所示为采用基于标准单元的版图设计方法设计的版图。当然,除基于标准单元的版图设计方法以外,还有其他的半定制版图设计方法,如基于门阵列的版图设计方法等。

img

图1.9 采用基于标准单元的版图设计方法设计的版图

对于数字集成电路的版图设计,通常采用半定制版图设计方法,通过逻辑设计辅以FPGA(Field Programmable Gate Array,现场可编程门阵列)芯片,能够做到基本上不涉及单元电路内部元器的互连问题,所有元器件、布线都有固定标准并且是准备好的,最终只需要考虑版图中的布线问题。对于模拟电路的版图设计,通常采用全定制版图设计方法,需要考虑元器件的设计、放置,功能电路的布局,以及综合布线等诸多方面。

1.2.3 版图验证及相关工具

所谓集成电路的版图验证,是指采用专门的工具对版图进行验证,检查版图设计是否符合设计规则,与电路是否匹配,以及是否存在短路、断路及悬空节点等问题。版图设计要根据一定的设计规则来进行,也就是说,编辑好的版图一定要通过DRC验证。编辑好的版图通过DRC验证后可能还有错误,这些错误可能不是由违反了设计规则造成的,而是由版图和原理图不一致造成的。因为就算是版图中少连了一根铝线这样的小问题对整个集成电路来说都是致命的,所以编辑好的版图还要通过LVS验证。同时,编辑好的版图要通过寄生参数提取程序来提取出电路的寄生参数,电路仿真程序可以调用寄生参数来进行仿真。

版图验证项目包括以下5个。

(1)DRC(Design Rule Check):设计规则检查。

(2)ERC(Electrical Rule Check):电学规则检查。

(3)LVS(Layout Versus Schematic):版图和原理图一致性比较。

(4)EXT(Layout Parameter Extraction):版图参数提取。

(5)PRE(Parasitic Resistance Extraction):寄生电阻提取。

其中,DRC验证和LVS验证是必做的验证项目,其余的为可选项目。

进行版图验证需要使用专门的工具,目前主流的版图验证工具有Cadence公司的DIVA、Dracula和Mentor Graphics公司的Calibre等。这些版图验证工具有的简单易学、使用方便,有的功能强大,有的验证全面。

DIVA是与版图编辑器完全集成的交互式验证工具集,被嵌入在Cadence软件的主体框架中,属于在线验证工具。它可以找出并纠正版图设计中的错误,除了可以处理物理版图和准备好的电气数据,进行LVS验证,还可以在版图设计的初期就进行版图检查,尽早发现错误并互动性地把错误显示出来,这有利于及时发现并纠正错误。在版图设计过程中能够随时迅速启动DIVA验证。DIVA验证有速度较快、使用方便的特点,但在运行DIVA前,要事先准备好验证的规则文件。

Dracula为离线式版图验证工具,基于命令行的方式进行验证,主要用于大规模集成电路的版图验证。

随着版图设计技术的发展,现在越来越多的版图使用Mentor Graphics公司的Calibre工具进行验证。Calibre作为后端物理验证(Physical Verification)工具,提供了最有效的DRC/LVS/ERC验证方案,特别适用于超大规模集成电路的版图物理验证。它支持平坦化(Flat Mode)和层次化(Hierarchical Mode)的验证,大大缩短了验证时间。它凭借高效率和高可靠性已经被各大集成电路制造厂商认可,并且Calibre验证被作为版图数据制版之前的验证标准。它独有的RVE界面可以把验证错误反标到版图工具中,而且其良好的集成环境便于用户在版图和原理图之间轻松进行转换,大大提高了改错的效率。

近年来,国产EDA软件的开发也取得了一定的成就。例如,华大九天旗下的Aether软件集成了Candence软件中的大部分功能,其版图验证项目DRC验证、LVS验证和PRE验证并没有采用Calibre工具,而是采用Argus工具进行查错和修正。在DRC验证、LVS验证的过程中可以随时中断检查进行修改,修改完成后继续检查。在华大九天软件中,后仿真并不叫PRE,而是在Empyrean RCExplorer界面下实现的,并且其后仿真的精度不低于Calibre工具。

1.3 集成电路版图设计工具

不同的版图设计方法所采用的设计工具也不同。目前集成电路设计行业内采用的版图设计工具分为全定制版图设计工具和标准单元版图设计工具两大类。

1.3.1 全定制版图设计工具

目前主流的全定制版图设计工具之一是Cadence公司开发的基于UNIX/Linux环境的系列软件。

Cadence软件是美国Cadence公司所开发的集成电路设计软件的简称,它是一套大型的EDA综合开发工具软件,也是具有强大功能的大规模与超大规模集成电路计算机辅助设计软件。Cadence软件在全定制版图设计方面常用的功能模块有:①Verilog HDL仿真模块Verilog-XL;②电路原理图绘制模块Composer;③模拟电路仿真模块Analog Artist;④版图设计模块Virtuoso;⑤版图验证模块Dracula和Diva;⑥版图自动布局、布线模块Preview和Silicon Ensemble等。

国产EDA软件在最近几年也有了里程碑式的发展,如华大九天在这方面就取得了一些成就,其模拟电路设计全流程EDA软件中包括原理图和版图编辑工具、电路仿真工具、异构仿真系统、物理验证工具、寄生参数提取工具、功率器件可靠性分析工具和晶体管级电源完整性分析工具等,其中常用的工具有如下几种。

(1)原理图和版图编辑工具:原理图和版图编辑工具为用户提供了丰富的原理图和版图编辑功能,以及高效的设计环境,支持用户根据不同电路类型的设计需求、不同加工工艺的设计规则设计原理图和版图,如进行电路元件符号生成、元件参数编辑和物理图形编辑等操作。同时,为了便于用户对原理图和版图进行追踪管理、分析优化,该工具在传统编辑环境的基础上增加了设计数据库管理模块、版本管理模块、仿真环境模块和外部接口模块等。该工具可集成华大九天电路仿真工具Empyrean ALPS、物理验证工具Empyrean Argus和寄生参数提取工具Empyrean RCExplorer等,为用户提供完整、平滑、高效的一站式设计方案。

(2)电路仿真工具:随着工艺的发展和设计复杂度的提高,集成电路规模越来越大,SPICE仿真工具遇到了前所未有的挑战。首先,仿真时间太长,许多设计要运行几天甚至几周的时间;其次,仿真容量巨大,已经超出了传统仿真工具的处理能力;最后,工艺角数目越来越多,无法得到全面、准确的验证,大大增加了设计风险。Empyrean ALPS是华大九天新近推出的高速、高精度并行晶体管级电路仿真工具,支持数千万个元器件的电路仿真和数模混合信号仿真,通过创新的智能矩阵求解算法和高效的并行技术,突破了电路仿真的性能和容量瓶颈,仿真速度相比同类电路仿真工具显著提升。

(3)物理验证工具:随着设计规模的急剧增加和工艺复杂度的不断提高,物理验证所需时间也不断增加,高效的物理验证方案必不可少。Empyrean Argus是针对模拟电路设计开发的层次化并行物理验证工具,主要用于DRC验证和LVS验证。针对模拟电路版图设计的特点,该工具开发了高效的扫描线技术和版图预处理技术等,显著提高了检查和分析版图设计错误的效率,缩短了产品的设计周期。

(4)寄生参数提取工具:寄生参数提取工具Empyrean RCExplorer支持晶体管级和单元级寄生参数提取,根据不同的精度要求,提供了三维高精度提取模式和准三维快速提取模式。同时该工具还提供了基于版图的点到点寄生参数计算和时延分析功能,为用户分析电路功能、性能提供了技术支撑。该工具可无缝集成到原理图和版图编辑工具中,与电路仿真工具协同为用户提供一站式设计仿真和验证方案。

1.3.2 标准单元版图设计工具

目前主流的标准单元版图设计工具之一是Astro。Astro是由美国Synopsys公司开发的一款基于标准单元的版图自动生成工具,通过调用标准单元库中的单元进行自动布局、布线,并完成版图设计。Astro可以满足5000万门、兆赫级时钟频率、纳米级工艺线生产的SoC级芯片设计的工程和技术需求。

Astro内置多种分析和验证工具,如静态时序分析工具,信号完整性分析工具,DRC验证工具,LVS验证工具,功耗、电压降和电迁移分析工具等,并且支持先进的工艺规则。因此,在超深亚微米集成电路版图设计中,它能够实现更复杂的设计,运行速度快,并且能完成时序和信号完整性收敛,提高成品率。

Astro有以下特点。

(1)能够使设计更快收敛。

(2)强调设计过程中的超深亚微米特征,在整个设计过程中考虑了所有的物理效应。

(3)具有很好的时钟树综合机制,能够提高时钟频率,完成高性能电路设计。

(4)通过布局控制和早期对时序和拥塞的预估,可以提高成品率。

(5)遵循最新、最先进的工艺规则,设计的可靠性更高,能自动处理天线效应修复、孔优化、金属填充物添加、宽铝开槽等。

(6)具备高性能的算法及分布式的布线能力,可大大缩短设计周期。

一个好的版图设计要求在满足各项设计指标要求的条件下,实现版图面积最小、成品率最高。使用Astro的熟练程度和对各种库及设计的理解程度,对是否能完成一个好的版图设计是至关重要的。

1.4 集成电路版图设计工艺文件

集成电路版图设计中需要用到相应的工艺文件,具体包括以下几种。

(1)工艺相关文件,通常包括.drf文件(显示资源文件)和.tf文件(工艺文件)。

(2)Design Rule(设计规则文件)、ESD Protection Design Guideline(静电保护结构设计指导文件)。

(3)PDK(工艺设计包文件)。

(4)版图验证命令文件,如采用Calibre工艺,则应该提供Calibre Command File。

(5)Mask Tooling(掩膜版制作接口文件)。

(6)Spice Model(电路仿真模型文件)。

(7)Process Device Characterization(元器件特征文件),包括MOSFET、电阻和电容等元器件的特征文件。

(8)工艺应用说明文件,包括该工艺所提供的元器件类型、不同元器件所对应的版图层次、顶层厚铝选项、各层膜厚结构图、掩膜层次、光刻次数、PCM参数、不同元器件的版图平面结构和纵向结构等内容。

(9)Process Outline(工艺概貌文件),包括掩膜版次序、关键设计规则和关键PCM参数等内容。

思考与操作练习

(1)集成电路设计有哪些具体要求?

(2)什么是集成电路版图设计?其主要方法有哪两种?

(3)集成电路版图验证主要包括哪些项目? WlDhDASWjRZjh2Pf37742RH0oCAdkjhqt0fDgr0IAv4QTHq7/DzQf0Rm0sLUp0Od

点击中间区域
呼出菜单
上一章
目录
下一章
×