购买
下载掌阅APP,畅读海量书库
立即打开
畅读海量书库
扫码下载掌阅APP

1.3.1 创建一个新库

在ModelSim软件中,库是仿真的基础,所有仿真的程序实例都要编译入库才能进行仿真,所以在进行仿真之前,必须先建立库文件,可按以下操作进行。

(1)创建新的库。

在ModelSim菜单栏中选择“File”→“New”→“Library”选项,如图1-3所示。

图1-3 创建新的库

(2)输入库的名称。

在弹出的对话框中输入库的名称,如图1-4所示。对话框弹出时默认选中第三项“a new library and a logical mapping to it”,下方两个可输入区域“Library Name”和“Library Physical Name”,默认名称均为“work”,这也是ModelSim中默认的库名称。由于是第一个例子,这里的选项都不需要进行设置,全部采用默认设置。

(3)新的库建成。

在输入库的名称后单击“OK”按钮,即可完成库的创建。新的库会出现在ModelSim的库标签页中,如图1-5所示。最上方的work(empty)就是新建好的库,后面括号中的empty表示此库是空的。可以看到,在work库下面还有很多库文件,这些都是ModelSim自带的库,可以在设计中使用。

建好库的同时,在ModelSim的下方窗口中会出现对应的提示信息,如图1-6所示。注意最上方的两行语句:vlib work和vmap work work,这是ModelSim命令行的操作语句,熟练使用这些语句可以大大加快仿真流程,这些都会在后续章节中介绍。

图1-4 库的设置

图1-5 建好的库文件

图1-6 提示信息 Frajve6f2gKo9i5s0PYuK7VpsR9tI57nF8cHSURZ9IpnWpF38nhkouUo5aisAgv8

点击中间区域
呼出菜单
上一章
目录
下一章
×

打开