购买
下载掌阅APP,畅读海量书库
立即打开
畅读海量书库
扫码下载掌阅APP

前言

2012年,Xilinx推出了新一代开发工具Vivado,旨在应对芯片规模的显著提升和设计复杂度的大幅增加,助力下一代全可编程FPGA和SoC的设计与开发。换言之,从Xilinx基于28nm工艺的7系列FPGA开始,Vivado成为了FPGA工程师不可或缺的利器。同时,Vivado并非孤立的,围绕Vivado,Xilinx推出了高层次综合工具Vivado HLS(从2020.2版本开始,Vivado HLS被Vitis HLS取代),这样算法开发可以根据场合需求,借助基于模型的System Generator或基于C/C++的Vivado HLS来完成。

Vivado并非ISE(Xilinx前一代开发工具)的延续,而是一个全新的工具。与ISE相比,Vivado有太多显著的变化。例如,Vivado引入了以IP为核心的设计理念,无论是用户的HDL代码还是System Generator工程或Vivado HLS工程都可以封装为IP,从而增强了设计的可复用性和可维护性;Vivado融入了Tcl(Tool command language),在支持传统Tcl脚本的基础上,还提供了大量的辅助命令,进一步提升了Vivado的功能;Vivado采用了XDC(Xilinx Design Constraints)作为约束的描述,与UCF(User Constraints File)相比更易用;Vivado贯穿了UltraFast设计方法学,引导用户尽可能地在设计初期发现潜在问题,从而大幅减少设计迭代周期。

为了推广Vivado,Xilinx发布了大量的用户指南、在线视频教程等,由于均为英文版本,因此不便于初学者学习、掌握。本书从读者的角度出发,围绕Vivado的这些显著特色,力求尽可能快地帮助读者掌握Vivado的精髓。全书共7章:第1章介绍了Xilinx 7系列和Xilinx UltraScale系列FPGA的结构,旨在帮助读者建立硬件语言与FPGA内部逻辑单元的对应关系;第2章至第4章从设计综合、设计实现和设计验证三个层面,结合实例介绍了Vivado的使用方法;第5章从工程应用角度阐述了Vivado以IP为核心的设计理念;第6章介绍了如何利用XDC描述约束,包括时序约束和物理约束;第7章列举了Tcl在Vivado中的一些应用案例。此外,作者还精心总结了一些设计技巧和注意事项,加速读者对Vivado的理解。

本书所用版本为Vivado 2023.1,绝大部分案例为Vivado自带的例子工程,在书中都有明确说明,其他案例都以HDL代码形式给出。本书所阐述的内容对于Vivado的其他版本也是适用的,只是操作界面可能会有一些变化。

本书配有41个电子教学课件,为读者提供了直观而生动的资料。读者可登录华信教育资源网直接下载。

本书适用于电子工程领域内的本科高年级学生和研究生,以及FPGA工程师和自学者。如果您在阅读过程中发现任何错误或有任何建议,请发送邮件至zhangn@phei.com.cn。

编 者
2023年10月 3A8Jpk/LSdchDezXI7TjBk8R2BPyjl0uUJG4aTlEMQyZYG55h5D3Mm2FDs1ADtb6

点击中间区域
呼出菜单
上一章
目录
下一章
×