购买
下载掌阅APP,畅读海量书库
立即打开
畅读海量书库
扫码下载掌阅APP

缩写词表

符号

A DG模型中的表面面积和参数

AR 深宽比

B DG模型参数

c 浓度

C 电容

CD 关键尺寸

D 扩散系数

d 深度,厚度

DC 直流

dc 占空比

E 能量和杨氏模量

ε 电场

EPC 每循环刻蚀深度

EPE 边缘放置误差

ER 刻蚀速率

ERNU 刻蚀速率不均匀性

G ° 标准吉布斯自由能

GPC 每循环生长厚度

磁场

H ° 标准焓

h 高度

h G 气相传输系数

I 电流

J 粒子通量

K 传输概率

k 常数,系数,例如反应速率或溅射系数

M 原子质量

N 数量,例如:分子数、吸附的表面位点数量等。

n (气体)密度

r 原子间距离或半径

R 反应速率

RIE 反应离子刻蚀

R p ,Δ R p 投影射程和偏差

S 刻蚀协同作用

S ° 标准熵

s 粘附系数

SR 溅射速率

T 温度

t 时间

V 电压或电势

v 速度

V LJ Lennard-Jones势能

w 宽度

X 电抗

Z 原子数

希腊符号

θ 相对于表面法线的角度

σ 横截面

ω 圆频率

τ 特征时间

κ 介电常数

Δ 差

ε 能量差,例如势阱的深度

α β ALE工艺的步骤A和B中的刻蚀量

Σ 薄膜应力

Δ Φ Mott Mott势(eV)

Γ 溅射产率

Θ 表面覆盖率

ν 体积

λ 波长

下标

0 表示初始值

a 激活

A 吸附,吸附物

b 底部

B 偏置

c 电容性的

ca 阴极

col 碰撞

D 解吸

DC 直流

dense 致密形貌

diff 扩散

diss 离解

e 电子

G 气体

i 离子

iso 孤立形貌

im 撞击

in 进入的

iz 离子化

kin 运动的

M 最大

m 最小

n 中性

ox 氧化

p 等离子体

RF 射频

S 表面

sol 溶液

sh 鞘层

sp 溅射

sw 侧壁

t 顶部

th 阈值

out 离开的

ox 氧化物,氧化

w 器壁

缩写词

AC alternating current 交流

AFM atomic force microscopy 原子力显微镜

ALE atomic layer etching 原子层刻蚀

ALD atomic layer deposition 原子层沉积

AR aspect ratio 深宽比

ARDE aspect ratio dependent etching 深宽比相关刻蚀

BARC bottom antireflective coating 底部抗反射涂层

BCA binary collision approximation 二元碰撞近似

BEOL back end of line 后段工艺

BPS bounded plasma system 有界等离子体系统

BPSG boron phosphorous silicon glass 硼磷硅玻璃

BST barium strontium titanate: Ba 1 -x Sr x TiO 3 钛酸锶钡:Ba 1 -x Sr x TiO 3

CAIBE chemically assisted ion beam etching 化学辅助离子束刻蚀

CBRAM conductive bridge random access memory 导电桥接随机存取存储器

CCP capacitively coupled plasma 电容耦合等离子体

CD critical dimension 关键尺寸

CDE chemical downstream etching 化学引发刻蚀

CFSTR continuous flow stirred tank reactor 连续流搅拌釜反应器

CM Cabrera-Mott oxidation model Cabrera-Mott氧化模型

CMOS complementary metal-oxide-semiconductor 互补金属氧化物半导体

CMP chemical mechanical polishing 化学机械研磨

CVD chemical vapor deposition 化学气相沉积

DARC dielectric antireflective coating 电介质抗反射涂层

DC direct current 直流

DFT density functional theory 密度泛函理论

DG Deal-Grove oxidation model Deal-Grove氧化模型

DMAC dimethyl aluminum chloride 二甲基氯化铝

DRAM dynamic random access memory 动态随机存取存储器

ECP electro copper plating 电镀铜

ECR electron cyclotron resonance 电子回旋共振

ESC electrostatic chuck 静电卡盘

FEOL front end of line 前段工艺

FeRAM ferroelectric random access memory 铁电随机存取存储器

FET field effect transistor 场效应晶体管

FG floating gate 浮动栅极

FinFET fin field effect transistor 鳍式场效应晶体管

FTIR Fourier transform infrared spectroscopy 傅里叶变换红外光谱

GAA gate-all-around (transistor) 围栅(晶体管)

GST germanium,antimonium,and tellurium 锗、锑和碲

HPEM hybrid plasma equipment model 混合等离子体设备模型

IAD ion angular distribution 离子角分布

IBE ion beam etching 离子束刻蚀

ICP inductively coupled plasma 电感耦合等离子体

IED ion energy distribution 离子能量分布

IIP ion-ion plasma 离子-离子等离子体

ILD inter-layer dielectric 层间电介质

LEIS low energy ion spectroscopy 低能离子谱

LELE Litho-Etch-Litho-Etch multipatterning 光刻-刻蚀-光刻-刻蚀多重图案化

LER line edge roughness 线边缘粗糙度

LWR line width roughness 线宽粗糙度

LSS Lindhard,Scharff,and Schiott theory Lindhard、Scharff和Schiott理论

MD molecular dynamics 分子动力学

MEMS micro-electromechanical systems 微机电系统

MEOL mid end of line 中段工艺

MMP mixed mode pulsing 混合模式脉冲

MRAM magnetic random access memory 磁性随机存取存储器

MOSFET metal oxide semiconductor field effect transistor 金属氧化物半导体场效应晶体管

NAND logic gate with “false” output if all inputs are “true.” This type of logic gates is used in flash memory devices.3D NAND is an implementation of flash memory devices where the gates are stacked in the third dimension inside tall vertical channels 如果所有输入为“真”,则输出为“假”的逻辑门。这种类型的逻辑门用于闪存器件。3D NAND是闪存器件的一种实现方式,其中栅极在高垂直通道内的第三维度中堆叠

ONON oxide-nitride-oxide-nitride 3D NAND 氧化物-氮化物-氧化物-氮化物3D NAND

OPOP oxide-polysilicon-oxide-polysilicon 3D NAND 氧化物-多晶硅-氧化物-多晶硅3D NAND

OxRAM metal oxide resistive random access memory 金属氧化物电阻式随机存取存储器

PIC particle-in-cell plasma model 质点网格法等离子体模型

PVD physical vapor deposition 物理气相沉积

PCM phase change memory 相变存储器

PSD power spectral density 功率谱密度

PZT lead zirconate titanate: Pb(Zr x Ti 1 -x )O 3 锆钛酸铅:Pb(Zr x Ti 1 -x )O 3

QCM quartz crystal microbalance 石英晶体微天平

ReRAM resistive random access memory 电阻式随机存取存储器

RF radio frequency 射频

RG replacement gate 替换栅极

RIBE reactive ion beam etching 反应离子束刻蚀

RIE reactive ion etching 反应离子刻蚀

SADP self-aligned double patterning 自对准双重图案化

SAQP self-aligned quadruple patterning 自对准四重图案化

SCM storage class memory 存储级内存

SE spectroscopic ellipsometry 椭圆偏振光谱法

SEM scanning electron microscopy 扫描电子显微镜

SIMS secondary ion mass spectrometry 二次离子质谱

SIT sidewall image transfer 侧壁图像转印

SRIM “stopping and range of ions in matter” program “物质中离子的阻止和范围”程序

SOS spacer-on-spacer implementation of self-aligned quadruple patterning 侧墙上的侧墙自对准四重图案化的实现

STI shallow trench isolation 浅沟槽隔离

TCP transformer coupled plasma 变压器耦合等离子体

TEM transmission electron microscopy 透射电子显微镜

TMA trimethylaluminum 三甲基铝

TPD temperature programmed desorption 程序升温解吸

TRIM “transport of ions in matter” program “物质中的离子传输”程序

TSV though silicon via 硅通孔

TWB tailored waveform bias 定制波形偏置

UHV ultra-high vacuum 超高真空

VUV vacuum ultraviolet light 真空紫外光

ZBL Ziegler,Biersack,and Littmark model Ziegler、Biersack和Littmark模型

常数

e 基本电荷量:1.60217662×10 -19 C

ε 0 真空介电常数:8.8541878128(13)×10 -12 F/m

ε 介电常数

k B 玻尔兹曼常数

R 普适气体常数

N A 阿伏伽德罗常数

单位

Å 埃(长度)

C 库仑(电荷)

℃ 摄氏度(温度)

deg,° 度(角度)

eV 电子伏特(能量)

F 法拉(国际单位制中电容的标准单位)

h 小时(时间)

K 开尔文(温度)

L Langmuir(表面覆盖率)

m 米(长度)

min 分钟(时间)

Pa 帕斯卡(压力)

s 秒(时间)

Torr 托(压力) 8d9PxESJCWZ76mjp1VT8RJqHZo/jKWKTc3DSNfZv6gbqmGEX4RdI+Tsmnb0qZaDh

点击中间区域
呼出菜单
上一章
目录
下一章
×