购买
下载掌阅APP,畅读海量书库
立即打开
畅读海量书库
扫码下载掌阅APP

2.3.15 接口和模块的联系和差别是什么?

模块构成整个硬件的层次;模块和模块之间的通信除了在Verilog中通过端口进行,也可以通过接口(interface)进行,还可以利用同一个接口完成多个模块之间的数据交互。接口同时也是模块(DUT)和外部验证环境的交互媒介,可将激励信号作为DUT的输入端,也可以将DUT的端口、内部信号交由验证组件进行监测和检查。

关键词:

interface 接口,module 模块

避坑指南:

模块可以例化模块或接口;接口可以例化接口,但不能例化模块(从设计意义上,这样的规范是合理的)。

阅读手记: MeUaMzLAaLng518tpq4v6q4JeqWQZjvjAUJUrhe6BVG2JZSb/g9+dj+YGqTkr1Sq

点击中间区域
呼出菜单
上一章
目录
下一章
×