购买
下载掌阅APP,畅读海量书库
立即打开
畅读海量书库
扫码下载掌阅APP

2.3.12 如何例化和传递多个相同类型的接口?

除了做代码“复制粘贴”,还可以使用接口数组声明例化和generate-endgenerate语句块来完成接口的连接和传递。这种方式可以用于解决通过参数来控制例化接口数量的情况。

关键词:

interface instantiation 接口例化,interface assignment 接口传递

避坑指南:

仿真器不允许对接口数组使用变量进行索引访问,因此需要genvar变量以“文本平铺”的形式结合for-loop进行接口的连接和传递。

参考代码: sv_interface_instance_generate.sv

阅读手记: BoenppUjw/QG4ybhjAdtlX58SlKHZaavjKk5paAiDywrIgGI+q6IM8D5euyOSwdR

点击中间区域
呼出菜单
上一章
目录
下一章
×