购买
下载掌阅APP,畅读海量书库
立即打开
畅读海量书库
扫码下载掌阅APP

2.3.2 interface在何处需要使用virtual来声明呢?

首先记住interface在验证环境中的作用,那就是充当软件环境(验证环境)和硬件环境(RTL设计)交互的媒介。interface在TB(module)中例化时,需要参考module实例化的方式,因此在module中它是“硬件属性”。验证环境中的各个验证组件均需要通过interface去访问接口信号,因此在class中它是“软件属性”,并且需要添加virtual表示接口句柄。

关键词:

interface 接口,interface handle 接口句柄

避坑指南:

module中可以声明virtual interface(但用处不多,更多的是通过层次化引用接口实例去访问接口成员),在class中只能使用virtual interface(而无法例化接口实例)。

参考代码: sv_interface_virtual_reference.sv

阅读手记: 9zNZhdItQKJzwyhO18LXPTmL7GKWtJTH2+e2AMm3BArVuoO2ST9AXe9Ew+5xvloT

点击中间区域
呼出菜单
上一章
目录
下一章
×