购买
下载掌阅APP,畅读海量书库
立即打开
畅读海量书库
扫码下载掌阅APP

3.2 VHDL文字规则

在VHDL中数值和标识符都具有相应的表达和定义规范。在程序书写中,只有遵循这些书写规范,才能保证程序的正确性和可读性。

3.2.1 数值表达

在VHDL中,数值型的文字主要有数字型、字符及字符串、位串型几种。这些数值型文字可以采用不同的表达方式来描述。表3-4列出了VHDL中不同的数值表达方式。

表3-4 VHDL中的数值表达方式

关于数值表达方式的补充说明如下。

☺ 整数表达式中,下画线“_”只是为了增强可读性,相当于一个空的间隔符号。

☺ 以数制基数表示的整数或实数,包括五部分,即两个“#”号加上三个数字部分。其中,最前面的数字部分代表数制基数,中间部分即具体有效数值,而最后的数字代表了该数制基数下的指数部分,这部分如果为0,则可以略去不写。

位串:由预定义数据类型位(Bit)构成的一维数组。以不同基数表示的位串,其位矢量的长度即为等值的二进制数的位数。位串由进制基数和双引号引起的数值构成。B代表二进制,O代表八进制,X代表十六进制。例如,X“FA5”代表十六进制位串,其位矢量长度为12。

3.2.2 标识符

标识符是VHDL语言最基本的要素之一,是使用VHDL语言的基础。标识符是VHDL语言中描述具体实体、端口、数据对象及函数等名称的字符串。VHDL标识符书写规则如下。

☺ 标识符由26个英文字母、数字0~9、下画线组合而成。

☺ 必须以英文字母开头,不能连续使用下画线,不能以下画线结尾。

☺ 标识符中间不能出现空格。

☺ 标识符不能与VHDL语言的关键字重名。

☺ 英文字母不区分大小写。

举例说明,以下是合法的标识符:

以下是非法的标识符:

另外要注意,由于不区分大小写,所以counter4与COUNTER4代表的是同一个对象。

以下是VHDL中的保留字,在使用中要注意避免设置同名的标识符:

另外,在VHDL中,注释符是“--”,在程序中,此符号后的字符即被理解为注释文字,系统编译时就被忽略。 lIvASpEFzqOMnRsWgJ4QDJTgEt2VP2fsWEKxz4pRt2uTgYBi8EWWEL8rTrOdWcaE

点击中间区域
呼出菜单
上一章
目录
下一章
×