购买
下载掌阅APP,畅读海量书库
立即打开
畅读海量书库
扫码下载掌阅APP

2.3 VHDL语言描述方式

在VHDL语言中,允许采用不同的描述风格来进行设计实体中结构体的描述。不同描述方式的区别在于与底层硬件结的紧密程度有所不同。通常结构体的描述方式有三种。

2.3.1 行为(Behavior)描述方式

对设计实体的数学模型的描述,其抽象程度最高类似于高级编程语言,无须知道电路的具体结构。一般是通过一组串行的VHDL进程,反映设计的功能和算法。

行为描述方式的优点是只需描述输入与输出的行为,不关注具体的电路实现。

下面以实例说明行为描述方式的特点。

【程序2-2】十进制加法计数器

程序2-2是采用行为描述方式描述的一个十进制加法计数器。在本例中,描述语句中不包含直接的电路结构信息,只是对电路的行为功能作描述。计数器异步复位、计数允许、计数等功能均依靠某一信号条件进行触发,设计者不对具体硬件电路结构进行描述,只需将电路的动作特点描述清楚即可。这就体现了VHDL强大的行为描述能力。

2.3.2 数据流(Dataflow)描述方式

这种描述也称寄存器传输级(RTL)描述,将数据看成从设计的输入端到输出端,通过并行语句表示的这些数据形式的改变,即对信号到信号的数据流动的路径和形式进行描述。使用数据流描述的设计人员,要对设计实体的功能实现有一定的了解,有时还需对电路的具体结构有清楚的认识。

数据流描述方式的优点是易于进行逻辑综合,综合效率较高。

下面以实例说明数据流描述方式的特点。

【程序2-3】一位全加器

在该例中,程序将电路的数据传递方式直接加以描述。

2.3.3 结构(Stucture)描述方式

结构描述多用在多层次的设计中,通过调用库中的元件或者已经设计好的模块进行组合,来完成实体功能的描述。结构描述方式只表示元件(或模块)和元件(或模块)之间的互连,就像网表一样。

结构描述方式的优点是可以将已有的设计成果用到当前的设计中去,因而大大提高了设计效率。对于可分解的大型设计,结构描述方式是首选方案,也是由上到下的设计方法的具体实施。结构描述的实例,因涉及底层设计,代码较烦琐,不在此处给出,读者可参考本书例化语句介绍部分的内容。

另外,也可以使用上述三种描述方式的组合来进行结构体的描述,即混合描述方式。

通过上面的VHDL程序实例,读者对VHDL语言程序结构有了初步的了解,下面将介绍VHDL语言的各结构的语法描述格式。 7YAX4HD1ddnhDqdCsSsgmiNT9TWdFBdL7jjoZDY9XfRLc/Bsgdro/2NJb9uoJOzH

点击中间区域
呼出菜单
上一章
目录
下一章
×