购买
下载掌阅APP,畅读海量书库
立即打开
畅读海量书库
扫码下载掌阅APP

2.1 VHDL语言简介

VHDL是目前广泛应用的硬件描述语言中的一种。这里简单介绍常用的主流硬件描述语言。

硬件描述语言(Hardware Description Language,HDL)在国外有上百种,高等学校、科研单位、EDA公司都有自己的HDL语言。现选择其中较有影响的进行简要介绍。

Cadence公司是一家实力雄厚的著名EDA公司。该公司的Verilog HDL于1983年由Gate Way Design Automatic公司的Phil Moorby首创。他在1984—1985年间成功设计了VerilogXL仿真器,于1986年提出了快速门级仿真的XL算法,使Verilog HDL语言变得更加丰富和完善,从而受到了EDA工具设计公司的青睐。1989年Candence公司购买了GDA公司,Verilog HDL语言从此变为Candence公司的“私有财产”,成为Candence公司的EDA设计环境上的硬件描述语言。经过Candence公司的努力,Verilog HDL于1995年成为IEEE标准,也是民间公司第一个硬件描述语言标准,即Verilog HDL 1364—1995。由于Verilog HDL语言从C语言发展而来,所以有C语言基础的设计人员能够较快入门。

ALTERA公司是一家半导体器件公司,其CPLD器件在世界市场上占主导地位。这家公司不仅是硬件生产厂商,也是EDA工具开发商,它的EDA工具MAX+plusⅡ、QuartusⅡ由于人机界面友好、易于使用、性能优良,而受到CPLD/FPGA器件设计人员的欢迎。运行在MAX+plusⅡ、QuartusⅡ环境下的AHDL语言具有C语言设计风格,易学易用,因此被众多用户使用。

HDL语言来自不同地方,由不同语言演变而来,为了各平台之间相互转换,业界又推出了EDIF(Electronic Design Interchange Format)。它不是一种语言,而是用于不同数据格式的EDA工具之间的交换设计数据。

VHDL语言是美国国防部在20世纪80年代实施超高速集成电路(Very High Speed Integrated Circuit,VHSIC)开发进程中,制定的一种描述集成电路结构和功能的标准语言。此后,该硬件描述语言VHSIC HDL(简称VHDL)被IEEE收录成为国际通用的工业标准(IEEE Standard 1076)。1988年,美国国防部规定所有官方的ASIC设计都必须以VHDL为设计描述语言,所以VHDL逐渐成为工业界的标准语言,不断推广。1996年,IEEE又将电路合成的标准程序与规格加入VHDL硬件描述语言中,成为IEEE 1076.3标准。

通过VHDL语言可以进行低至简单的逻辑门电路,高至完整的微处理器等器件的行为描述。VHDL语言具有以下特点。

☺ VHDL具有功能强大的语言结构,可用明确的代码描述复杂的控制逻辑设计,并具有多层次的设计描述功能。支持自上而下的设计方法和基于库的设计方法。

☺ VHDL语言可读性强,易于修改、校验,并可以通过仿真器对VHDL源代码进行功能仿真、验证。

☺ 作为标准语言,VHDL具有良好的通用性,使得功能设计可以不依赖于单一器件、单一开发工具,并且有诸多的参考设计可以参考运用,保证了设计的可移植性,缩短了设计周期。

VHDL语言通过描述电路的行为、功能和端口特性来完成特定的功能,我们可以把它理解成从功能寅语言寅硬件的一个映射过程。作为一种通用的程序设计语言,VHDL与其他高级语言,如C语言、PASCAL一样,具有程序语言的共同特点,即将一个复杂的设计理念通过可执行的程序语言逐步表达出来。它同样具有子程序、过程、函数等与软件语言类似的概念,为我们理解和掌握VHDL语言提供了便利。所不同的是,软件设计语言经过编译后,由CPU执行,而硬件描述语言是经过编译、综合成为可实现的硬件结构,下载到CPLD/FPGA或者制成ASIC器件,最终转化为硬件实现。另一个显著的不同,体现在软件语言和硬件描述语言的执行机制上。由软件语言编写的程序,在CPU中是按照时间顺序执行的,而VHDL语言描述的程序在硬件中大部分是并发执行的。 8tMCvYlWhxbCRdkNXNwI2PARlkg3sIeQOOORroaDH3RUg1/twGQLFbGQVDXSdMi6

点击中间区域
呼出菜单
上一章
目录
下一章
×